Pages

Wednesday 11 December 2013

Dear Readers



 ModelSim is JUST added  to EDA Playground, which supports SystemVerilog & UVM [everything except SV coverage, SV assertions & randomize() method] and  now we  can run UVM code on EDA Playground. 

Take a look at simple UVM TB @ http://www.edaplayground.com/s/example/546

Hope you find this useful

-Happy Reading
Hash

No comments:

Post a Comment